This commit is contained in:
Gvidas Juknevičius 2024-08-18 04:52:11 +03:00
parent 3dc1abea4e
commit e7f017297c
Signed by: MCorange
GPG Key ID: 12B1346D720B7FBB
2 changed files with 2 additions and 2 deletions

View File

@ -172,7 +172,7 @@ rawset(__BUNDLER_FILES, "ui.lua", function ()
end
end
local status, err = pcall(function ()
parallel.waitForAll(basalt.autoUpdate, updater.updateChecker)
parallel.waitForAll(basalt.autoUpdate, updater.UpdateChecker)
end)
if not status then
print("Error detected: " .. err)

View File

@ -110,7 +110,7 @@ function mod.InitUi()
end
local status, err = pcall(function ()
parallel.waitForAll(basalt.autoUpdate, updater.updateChecker)
parallel.waitForAll(basalt.autoUpdate, updater.UpdateChecker)
end)
if not status then