This commit is contained in:
Gvidas Juknevičius 2024-08-18 04:56:03 +03:00
parent f071aea00f
commit cb86e37506
Signed by: MCorange
GPG Key ID: 12B1346D720B7FBB
2 changed files with 2 additions and 0 deletions

View File

@ -141,6 +141,7 @@ rawset(__BUNDLER_FILES, "ui.lua", function ()
resetEverything, resetEverything,
unlockDoor, unlockDoor,
addToPin, addToPin,
pin = "",
main = basalt.addMonitor(), main = basalt.addMonitor(),
} }
ui.main:setMonitor(monitor) ui.main:setMonitor(monitor)

View File

@ -73,6 +73,7 @@ function mod.InitUi()
resetEverything, resetEverything,
unlockDoor, unlockDoor,
addToPin, addToPin,
pin = "",
main = basalt.addMonitor(), main = basalt.addMonitor(),
} }