This commit is contained in:
Gvidas Juknevičius 2024-08-25 22:08:59 +03:00
parent c31606c5bc
commit 009d9d6071
Signed by: MCorange
GPG Key ID: 12B1346D720B7FBB
3 changed files with 2 additions and 1 deletions

View File

@ -350,6 +350,7 @@ rawset(__BUNDLER_FILES, "updater.lua", function ()
branch = branch,
url = url
};
self:update(path, url);
end
function updater:checkAndUpdateAll()
for path, entry in pairs(self.updated_files) do

View File

@ -13,7 +13,6 @@ end
local function _start()
local upd = updater.new();
upd:addEntry(MODULE_PATH, "keypad", MODULE_URL);
parallel.waitForAny(function() updaterLoop(upd) end, require(MODULE_PATH))
end

View File

@ -34,6 +34,7 @@ function updater:addEntry(path, branch, url)
branch = branch,
url = url
};
self:update(path, url);
end
function updater:checkAndUpdateAll()